VGA.pdf

(102 KB) Pobierz
Hardware Design with VHDL
Design Example: VGA
ECE 443
VGA (Video Graphics Array)
Here we consider an 8 color 640-480 pixel resolution interface for the CRT
Vertical deflection coil
Horizontal deflection coil
Electron gun
mono
Phosphor
coated
screen
hsync
Horizontal
osc and amp
vsync
Vertical
osc and amp
Electron beam
The electron gun generates a focused electron beam that strikes the phosphor screen
The intensity of the electron beam and the brightness of the dot are determine by the
voltage level of the external video input signal ( mono signal )
The mono signal is an analog signal whose voltage level is between 0 and 0.7 V
The horizontal and vertical deflection coils produce magnetic fields guide the elec-
tron beam to points on the screen
ECE UNM
1
(10/6/10)
960202601.045.png
Hardware Design with VHDL
Design Example: VGA
ECE 443
VGA (Video Graphics Array)
The electron beam scans the screen systematically in a fixed pattern
Screen
The horz and vert. osc. and amps gen. sawtooth wfms to control the deflection coils
pixel(0,0)
’0’ and ’1’ periods
of hsync signal
correspond to rising
and falling ramp
of sawtooth wfm
left border (48)
655
h_video_on
799
hsync
0
639
751
640
retrace(96)
right border (16)
ECE UNM
2
(10/6/10)
960202601.046.png 960202601.047.png 960202601.048.png 960202601.001.png
Hardware Design with VHDL
Design Example: VGA
ECE 443
VGA (Video Graphics Array)
A color CRT is similar except that it has three electron beams, that are projected to
the red, green and blue phosphor dots on the screen
The three dots are combined to form a pixel
The three voltage levels determine the intensity of each and therefore the color.
The VGA port has five active signals, hsync, vsync, and three video signals for the
red, green and blue beams
They are connected to a 15-pin D-subminiature connector
The video signals are analog signals -- the video controller uses a D-to-A converter to
convert the digital output to the appropriate analog level
If video is represented by an N-bit word, it can be converted to 2 N analog levels.
Three video signals can generate 2 3N different colors (called 3N-bit color )
If 1-bit is used for each video signal, we get 2 3 or 8 colors
If all three video signals are driven from the same 1-bit word, we get black&white
ECE UNM
3
(10/6/10)
960202601.002.png
Hardware Design with VHDL
Design Example: VGA
ECE 443
Video Controller
For the former case:
Red (R)
Green (G)
Blue (B)
Resulting color
0
0
0
black
0
0
1
blue
0
1
0
green
0
1
1
cyan
1
0
0
red
1
0
1
magenta
1
1
0
yellow
1
1
1
white
The video controller generates the sync signals and outputs data pixels serially
external data/control
rgb
pixel_x
pixel_y
video_on
pixel generation
circuit
VGA
monitor
vga_sync
hsync
vsync
clk
ECE UNM
4
(10/6/10)
960202601.003.png 960202601.004.png 960202601.005.png 960202601.006.png 960202601.007.png 960202601.008.png 960202601.009.png 960202601.010.png 960202601.011.png 960202601.012.png 960202601.013.png 960202601.014.png 960202601.015.png 960202601.016.png 960202601.017.png 960202601.018.png 960202601.019.png 960202601.020.png 960202601.021.png 960202601.022.png 960202601.023.png 960202601.024.png 960202601.025.png 960202601.026.png 960202601.027.png 960202601.028.png 960202601.029.png 960202601.030.png 960202601.031.png 960202601.032.png 960202601.033.png 960202601.034.png 960202601.035.png 960202601.036.png 960202601.037.png 960202601.038.png 960202601.039.png 960202601.040.png 960202601.041.png 960202601.042.png 960202601.043.png
Hardware Design with VHDL
Design Example: VGA
ECE 443
Video Controller
The vga_sync generates the timing and synchronization signals
The hsync and vsync are connected directly to the VGA port
These signals drive internal counters that in turn drive pixel_x and pixel_y
The video_on signal is used to enable and disable the display
pixel_x and pixel_y indicate the relative positions of the scans and essentially specify
the location fo the current pixel
The pixel generator circuit generates three video signals -- the rgb signal
The color value is derived from the external control and data signals
The vga_sync circuit generates the hsync signal, which specifies the time to traverse
(scan) a row, while the vsync signal specifies the time to traverse the entire screen
Assume a 640x480 VGA screen with a 25-MHz pixel rate (known as VGA mode)
The screen usually includes a small black border around the visible portion
The top-left is coordinate (0, 0) while the bottom right is coordinate (639,479)
ECE UNM
5
(10/6/10)
960202601.044.png
Zgłoś jeśli naruszono regulamin